CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl led

搜索资源列表

  1. blink

    0下载:
  2. programme of led blink with vhdl language
  3. 所属分类:Project Design

    • 发布日期:2017-04-12
    • 文件大小:883
    • 提供者:ba
  1. Pictures

    0下载:
  2. this a program of led in vhdl langage
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:1826
    • 提供者:ba
  1. prog

    0下载:
  2. this a program of led in vhdl langage
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:2473
    • 提供者:ba
  1. tictoc

    0下载:
  2. this a program of led in vhdl langage
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:2120
    • 提供者:ba
  1. clock

    0下载:
  2. 用VHDL 语言设计数字钟,实现在数码管上显示分钟和秒,并且可以手动调节分钟, 实现分钟的增或者减。该设计包括以下几个部分: (1)分频电路的设计,产生1Hz 的时钟信号,作为秒计时脉冲; (2)手动调节电路,包括“时增”“时减”“分增”“分减”。 (3)时分秒计时电路。 (4)7 段数码管显示电路。 将 SW1 和SW2 初始状态均置为高电平。拨动开关SW1 到低,分钟进行加计数,秒停 止计数,当计数到59 时,从00 开始重新加计数,将SW1 拨动到高时,在当前状
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:495748
    • 提供者:panda
  1. URAT

    0下载:
  2. 在ISE环境下,用VHDL语言实现RS232串口设计,实现串口通信。通过串口调试工具向 0000000UART发送16进制数,FPGA将UART接收到的串行数据转换为并行数据,并在8个 LED灯上输出显示;同时,并行数据又被重新转换为串行数据,重新送给RS-232接口,并在 串口调试工具上再次显示,SW0为复位键。 比如:串口调试工具发送两位16进制数,然后能在LED上显示,并且重新在串口调试工 具上显示。串口调试工具设置:波特率设为9600,默认奇校验。-In the IS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:403088
    • 提供者:panda
  1. traffic_control1

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-06
    • 文件大小:602074
    • 提供者:Cherry_RF
  1. FPGA-Traffic-Light-Controller

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:64454
    • 提供者:Cherry_RF
  1. Example4

    0下载:
  2. 八位七段数码管动态显示电路设计 使用的是两个四位一体、共阴极七段数码管 学习 VHDL 的 CASE 语句及多层次设计方法-Dynamic eight seven-segment LED display circuit design uses two one four, 7-segment LED common learning CASE statement VHDL design methods and the multi-level
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:3068
    • 提供者:贺泽伟
  1. MakeImageData

    0下载:
  2. 用Delphi来发生彩色LED显示屏的资料。在VHDL固件里,保存这个资料作为mif样式到ROM架构。终于FPGA芯片显示静止图像在LED屏幕。(We developed Delphi application. This application generate initial data of VHDL firmware. This data has a <*.mif> style. FPGA chip using this initial data displays still
  3. 所属分类:图形图像处理

    • 发布日期:2017-12-22
    • 文件大小:387072
    • 提供者:cnd4791
  1. 7段数码管显示程序

    0下载:
  2. 7段数码管显示, 基于VHDL语言编写,(Seven LED light base on VHDL language thanks)
  3. 所属分类:其他

    • 发布日期:2018-01-01
    • 文件大小:1024
    • 提供者:乖乖虎_1
« 1 2 ... 18 19 20 21 22 23»
搜珍网 www.dssz.com